MaloTianjin, China (kumtunda)
ImeloImelo: sales@likevalves.com
FoniFoni: +86 13920186592

Mfundo ya electroplating process ya valve valve ikukambidwa

Mfundo ya electroplating process ya valve valve ikukambidwa

΢ÐÅͼƬ_202204291130483

Chomwe chimayambitsa kusweka kwa matupi amagetsi opangira magetsi mu cobalt base alloy spray kuwotcherera nthawi zambiri kumakhala kuuma kwa ma valve. Pogwiritsa ntchito kuwotcherera, arc imapanga dziwe la solubilization, lomwe limapitiriza kusungunuka ndi kutentha malo owotcherera, ndipo kutentha kumatsika mofulumira pambuyo pa kuwotcherera, ndipo chitsulo chosungunula chimasungunuka kuti chipange kuwotcherera. Ngati kutentha kwa kutentha kuli kochepa, kutentha kwazitsulo zowotcherera kuyenera kuchepetsedwa mofulumira. Pansi pa kuzizira kofulumira kwazitsulo zowotcherera, kuchuluka kwa shrinkage kwa wosanjikiza wowotcherera kumathamanga kuposa kuchuluka kwa shrinkage ya thupi la valve. Pansi pa kupsinjika koteroko, kuwotcherera wosanjikiza ndi zinthu zoyambirira zimapanga mwachangu kupsinjika kwamkati, ndipo wosanjikiza wowotcherera umasweka. Mkhalidwe wogwirira ntchito wa valavu yamagetsi nthawi zambiri ndi 540¡æ kutentha kwa nthunzi, kotero chinthu chachikulu cha valve ya pakhomo ndi 25 kapena 12crmov, thupi la valve. kotero chinthu chachikulu cha valavu pachipata ndi 25 kapena 12crmov, ndi zopangira valavu bodyspray kuwotcherera ndi cobalt-base aloyi d802(sti6) kuwotcherera waya.
d802 ikufanana ndi edcocr -A mu ndondomeko ya gb984, yomwe ili yofanana ndi ercocr -A mu aws.
Zipangizo za d802 zimatha kutsegulidwa mosalekeza ndikutsekedwa kuchokera ku kuthamanga kwapamwamba kwambiri komanso ntchito yotentha kwambiri, yokhala ndi kukana kwambiri, kukana kukhudzidwa, kukana kwa okosijeni, kukana kwa dzimbiri ndi kukana kwa cavitation.
Chitsulo chowotcherera cha ErCoCr-A ma elekitirodi ndi ma waya odzaza ma waya mu mawonekedwe a Aws amadziwika ndi makina a subeutectic omwe amakhala pafupifupi 13% chromium cementite eutectic network yogawidwa mu gawo lapansi la Cochromium-tungsten ion crystal. Chotsatira chake ndi kusakanikirana kwangwiro kwa zopangira kukana kuwonongeka kochepa kwa nkhawa ndi kulimba koyenera kukana kukhudzidwa kwa mitundu ina ya kayendedwe kake.
Cobalt alloy ali ndi kukana bwino kwachitsulo - kuvala kwachitsulo, makamaka kukana zokanda pansi pa katundu wambiri.
Kuphatikizika kolimba kwa aloyi mu gawo lapansi kumatha kupereka kukana bwino kwa dzimbiri komanso kukana kwa okosijeni.
Pamene chitsulo chosungunuka cha cobalt-based alloy chiri mu kutentha (mkati mwa 650¡æ), mphamvu zake sizimachepa kwambiri. Pokhapokha pamene kutentha kumakwera pamwamba pa 650¡æ, mphamvu yake idzachepa kwambiri. Kutentha kukabwerera ku chikhalidwe cha kutentha, mphamvu zake zidzabwerera ku kuuma koyambirira.
M'malo mwake, zinthu zoyambirira zikamachitira chithandizo cha kutentha pambuyo pa kuwotcherera, ntchito yapamtunda sivuta kuwononga. Vavu ya malo opangira magetsi iyenera kupopera ndi aloyi yochokera ku cobalt pakati pa bowo la valavu kuti apangitse nkhope ya valve yothamanga kwambiri ndi kuwotcherera kwa arc. Chifukwa nkhope ili mkatikati mwa dzenje lapakati la valavu, kuwotcherera kutsitsi kumatha kuyambitsa zolakwika monga kuwotcherera noddle ndi ming'alu.
Kuyesa kwazitsulo zozama za dzenje d802 kunachitika popanga ndi kukonza zitsanzo ngati pakufunika. Chifukwa cha kupatuka kosavuta chimapezeka mu ulalo woyeserera.
¢Ùkuwotcherera zinthu kuwononga chilengedwe.
¢Ú Zipangizo zowotcherera zimatenga chinyezi.
¢ Û Zida zoyambirira ndi zitsulo zodzaza zimakhala ndi zonyansa zambiri komanso madontho amafuta.
¢Ü Kuuma kwa malo owotcherera kwa ma valve ndi akulu ndi kuwotcherera kwamagetsi (makamaka dn32 ~ 50mm).
(5) Muyezo waukadaulo wazotenthetsera ndi kutentha pambuyo pakuwotcherera ndi zosayenera.
The kuwotcherera ndondomeko si wololera.
¢ ß kusankha zinthu zowotcherera sikoyenera. Chomwe chimayambitsa kusweka kwa matupi amagetsi opangira magetsi mu cobalt base alloy spray kuwotcherera nthawi zambiri kumakhala kuuma kwa ma valve. Pogwiritsa ntchito kuwotcherera, arc imapanga dziwe la solubilization, lomwe limapitiriza kusungunuka ndi kutentha malo owotcherera, ndipo kutentha kumatsika mofulumira pambuyo pa kuwotcherera, ndipo chitsulo chosungunula chimasungunuka kuti chipange kuwotcherera. Ngati kutentha kwa kutentha kuli kochepa, kutentha kwazitsulo zowotcherera kuyenera kuchepetsedwa mofulumira. Pansi pa kuzizira kofulumira kwazitsulo zowotcherera, kuchuluka kwa shrinkage kwa wosanjikiza wowotcherera kumathamanga kuposa kuchuluka kwa shrinkage ya thupi la valve. Pansi pa kupsinjika koteroko, kuwotcherera wosanjikiza ndi zinthu zoyambirira zimapanga mwachangu kupsinjika kwamkati, ndipo wosanjikiza wowotcherera umasweka. Ma angles a bevel ayenera kuletsedwa popanga malo owotcherera.
Kutentha kwa kutentha kumakhala kochepa kwambiri, ndipo kutentha kumatulutsidwa mwamsanga panthawi yowotcherera.
Olimba wosanjikiza kutentha ndi otsika kwambiri, kuwotcherera wosanjikiza firiji liwiro ndi mofulumira kwa kutsitsi kuwotcherera zipangizo.
The kuwotcherera zinthu cobalt base alloy palokha ali ndi kuuma kwambiri wofiira, pamene ntchito pa 500 ~ 700¡æ, mphamvu akhoza kusunga 300 ~ 500hb, koma ductility wake ndi otsika, kukana ming'alu ndi ofooka, zosavuta kutulutsa ming'alu kristalo kapena ming'alu ozizira, choncho iyenera kutenthedwa musanawotchere.
Kutentha kwa kutentha kumadalira kukula kwa workpiece, ndipo kutentha kwapakati ndi 350-500¡æ.
Zotchingira zowotcherera ma elekitirodi ziyenera kusungidwa bwino musanawotchere kuti zisamayamwidwe ndi chinyezi.
Panthawi yowotcherera, keke imawotchedwa 150¡æ kwa 1h ndikuyika mu silinda yowotcherera ya waya.
Arc r Engle yowotcherera yopoperapo mozama iyenera kukhala yayikulu momwe mungathere, nthawi zambiri r¡Ý3mm, ngati njirayo ilola.
dn10 ~ 25mm caliber vavu thupi akhoza welded kudzera pansi pa dzenje osaya ndi kuwotcherera waya, kuonetsetsa kuti olimba wosanjikiza kutentha ¡Ý250*(2, pakati pa arc, arc kuti pang'onopang'ono liwiro anatchula kuwotcherera waya.
Chopangidwacho chinatenthedwa mu ng'anjo (250¡æ) mpaka 350 10 20¡æ isanawotchere. Pambuyo pa 1.5h ya kutchinjiriza kutentha, kuwotcherera kunkachitika.
Pa nthawi yomweyo kulamulira olimba wosanjikiza kutentha ¡Ý250c, utsi kuwotcherera mapeto onse a kuwotcherera chipsera. Pambuyo kuwotcherera, valavu iyenera kuikidwa mu ng'anjo nthawi yomweyo (450¡æ) kuti iteteze kutentha ndi kutsekereza. Pamene kutentha kwa batch kapena kutentha kwa kutentha kwa ng'anjo kumazimitsidwa ku 710¡À20¡æ, kutentha kwa kutentha ndi kutsekemera kumachitikira kwa 2h ndiyeno firiji ndi ng'anjo. Pamene kutentha kwa dn kuli kwakukulu kuposa 32mm, thupi la valavu liyenera kuwotcherera kuti likhale lopangidwa kuti lithetse vuto la kusakhazikika kofanana komwe kumabwera chifukwa cha kuuma kwambiri pambuyo popopera mankhwala kuwotcherera kwa cobalt-based alloy. Pamaso pa kuwotcherera kutsitsi, chopangiracho chimatsukidwa, chopangiracho chimayikidwa mu ng'anjo (kuwongolera kutentha ndi 250¡æ), kutenthedwa mpaka 450 ~ 500¡æ, kutchinjiriza kutentha ndikugwira kwa maola awiri, ndipo kuwotcherera kumalengezedwa. .
Choyamba, utsi weld pamwamba ndi cobalt-based aloyi kuwotcherera waya, ndipo malizitsani kuwotcherera chipsera cha wosanjikiza aliyense. Pa nthawi yomweyo, kulamulira kutentha pakati pa zigawo ¡Ý250¡æ, ndi kupopera weld chilonda pambuyo mapeto onse.
Kenaka m'malo mwa waya wachitsulo chosapanga dzimbiri (waya wapamwamba kwambiri, waya wachitsulo chosapanga dzimbiri) kuti muwotcherera chowotcherera chooneka ngati U. Kuwotcherera kwamagetsi kwa thupi la valve kukatsirizidwa, kumayikidwa mu ng'anjo nthawi yomweyo (450¡æ) pofuna kuteteza kutentha ndi kusunga kutentha. Pambuyo pomaliza kuwotcherera kwamagetsi kwa batch kapena ng'anjo iyi, kutentha kudzakwezedwa ku 720¡À20¡æ kuti azimitse.
Kutentha kwake ndi 150¡æ/h, ndipo kutentha kumasungidwa kwa maola awiri.
Electroplating thanki lili misinkhu iwiri magetsi, ambiri mankhwala workpiece monga cathode, kusintha mphamvu mwayi pambuyo pomanga electrostatic munda pakati pa mbali ziwiri, mchikakamizo cha electrostatic munda zitsulo ayoni kapena thiocyanogen muzu kutengerapo cathode, ndi pafupi cathode pamwamba. kupanga otchedwa wosanjikiza wapawiri, Pankhaniyi, ndende ion padziko cathode ndi yaing'ono kuposa m'dera kupewa cathode, zomwe zingachititse kuti mtunda wautali kutengerapo ion.
Zitsulo zabwino ayoni kapena thiocyanogen anamasulidwa ndi kumasulidwa kwa ayoni zovuta, malinga ndi wosanjikiza awiri ndi kufika pa cathode pamwamba kupanga makutidwe ndi okosijeni anachita kupanga zitsulo mamolekyu.
Electroplating ndondomeko electroplating mbiri ndi oyambirira, njira pamwamba mankhwala pa chiyambi cha kafukufuku ndi chitukuko makamaka kukumana ndi kupewa dzimbiri anthu ndi chokongoletsera ayenera.
M'zaka zaposachedwapa, ndi chitukuko cha mafakitale ndi sayansi ndi luso, ndi chitukuko mosalekeza wa njira zatsopano kupanga, makamaka zikamera wa zipangizo zina zatsopano ❖ kuyanika ndi gulu plating luso kwambiri kukodzedwa ntchito munda wa ndondomeko pamwamba mankhwala, ndipo anapangitsa kukhala. gawo lofunikira kwambiri pamapangidwe apamwamba a engineering.
Electroplating process ndi imodzi mwamaukadaulo azitsulo zamagetsi. Ndi ndondomeko kupeza zitsulo alluvium pa olimba pamwamba ndi electrolysis. Cholinga chake ndikusintha mawonekedwe amtundu wa zida zolimba, kukonza mawonekedwe, kukonza kukana kwa dzimbiri, kukana kuvala ndi kukana kukangana, kapena kukonza zotchingira zitsulo zokhala ndi mawonekedwe apadera. Perekani wapadera magetsi, maginito, kuwala, matenthedwe ndi zina pamwamba makhalidwe ndi zina ndondomeko katundu.
Nthawi zambiri, Njira ya electrodeposition zitsulo pa cathode imapangidwa ndi njira zotsatirazi:(1) The kutentha kutengerapo ndondomeko ya pre-yokutidwa zabwino ayoni kapena thiocyanogen mizu mu lithiamu batire electrolyte kwa cathode (chidutswa ntchito) pamwamba kapena pamwamba kulanda chifukwa cha ndende kusiyana:(2) kutembenuka kwapamwamba kwa ayoni zitsulo zabwino kapena mizu yawo ya thiocyanogen pamtunda wamagetsi ndi madzi osanjikiza pafupi ndi mawonekedwe a makutidwe ndi okosijeni, monga kutembenuka kwa thiocyanogen ligand kapena kuchepetsa nambala yolumikizirana.:(3) photocatalytic ndondomeko zitsulo ayoni kapena thiocyanogen pa cathode kupeza ma elekitironi, mu zitsulo mamolekyu.:( 4) gawo latsopano mapangidwe ndondomeko kuti ndi kupanga gawo latsopano, monga mapangidwe zitsulo kapena zitsulo zotayidwa aloyi. Electroplating thanki lili 2 misinkhu magetsi, ambiri mankhwala workpiece monga cathode, kusintha mphamvu kotunga mwayi pambuyo pomanga munda electrostatic pakati pa mbali ziwiri, mchikakamizo cha electrostatic munda zitsulo ayoni kapena thiocyanogen muzu kutengerapo cathode, ndi pafupi cathode. pamwamba kutulutsa otchedwa wachiphamaso wosanjikiza, ndiye cathode ozungulira ndende ion ndi zosakwana ndende ion m'dera kupewa cathode, Zingachititse kuti mtunda wautali kulanda ayoni.
Zitsulo zabwino ayoni kapena thiocyanogen anamasulidwa ndi kumasulidwa kwa ayoni zovuta, malinga ndi wosanjikiza awiri ndi kufika pa cathode pamwamba kupanga makutidwe ndi okosijeni anachita kupanga zitsulo mamolekyu.
Kuvuta kwa malipiro ndi kutulutsa ma ion abwino pamfundo iliyonse pamtunda wa cathode sikufanana. Pa mfundo ndi Acute Angle ya kristalo, mphamvu zamakono ndi electrostatic zochita ndi zazikulu kuposa malo ena a kristalo. Panthawi imodzimodziyo, mafuta osasunthika a molekyulu omwe ali pamtunda wa kristalo ndi Acute Angle ali ndi mphamvu zambiri zotsatsa. Ndipo apa kutulutsa ndi kutulutsa pamalowa kumapanga mamolekyu osasunthika muzitsulo. Malo omwe amakonda kulipiritsa ndi kutulutsa ma ion abwino awa ndi diso la kristalo wokutidwa wachitsulo.
Pamene maso akukula pamodzi ndi kristalo, kukula kwa monatomic kumapangidwa kulumikizidwa ndi makwerero akunja a zachuma. Chifukwa latisi zonse pamwamba zitsulo cathode lili pansi kupsyinjika anatambasulidwa ndi latisi mphamvu zonse, maatomu pang'onopang'ono Ufumuyo pamwamba cathode amatenga gawo lokhalo losalekeza ndi maselo a gawo lapansi zitsulo (cathode), mosasamala kanthu za kusiyana. mu lattice nthawi zonse geometry ndi mafotokozedwe pakati pa gawo lapansi lachitsulo ndi zitsulo zokutira. Ngati maselo a zitsulo zokutira ndizosiyana kwambiri ndi gawo lapansi, kukula kwa crystallization kudzakhala kofanana ndi mapangidwe a maselo a maziko, ndiyeno pang'onopang'ono kusintha kukhala kwake kokhazikika kwa maselo. Mapangidwe a ma molekyulu a electroalluvium amatengera mawonekedwe a crystallographic a zitsulo zomwe zidasokonekera, ndipo kapangidwe ka bungwe kamadalira zomwe zimafunikira pakupanga ma electrocrystallization mpaka pamlingo wina. Kuphatikizika kwa alluvium kumadalira kwambiri kuchuluka kwa ayoni, kusinthana kwaposachedwa komanso kopitilira pamwamba, ndipo kukula kwa kristalo wa electrocrystal kumadalira kwambiri kuchuluka kwa ma surfactant.
Awiri, single zitsulo plating ndondomeko single zitsulo plating amatanthauza njira plating ndi mtundu wa ayoni zitsulo, pambuyo plating kupanga imodzi zitsulo zokutira njira.
Njira zopangira zitsulo zodziwika bwino zimaphatikizira kuthirira kotentha, kuyika kwa mkuwa, kupaka faifi tambala, zitsulo zosapanga dzimbiri, plating ndi malata, ndi zina zotere, zomwe sizingagwiritsidwe ntchito ngati zida zachitsulo ndi zotsutsana ndi dzimbiri, komanso zimakhala ndi ntchitoyo. kapangidwe ka zokongoletsera ndikuwongolera mawonekedwe a malleability.
Mphamvu ya elekitirodi ya zinki ndi -0.76v. Kwa gawo lapansi lachitsulo, zokutira za zinki ndi zokutira za subanodic oxidation, zomwe zimagwiritsidwa ntchito makamaka kupewa dzimbiri lachitsulo. Electrogalvanizing ndondomeko amagawidwa m'magulu awiri: thupi otentha dip galvanizing ndi otentha kuviika galvanizing popanda cyanide.
Thupi yotentha yoviika galvanizing amakhala ndi zabwino plating ntchito mu njira amadzimadzi, yosalala ndi wosakhwima ❖ kuyanika, lonse ntchito, plating njira anawagawa yaying'ono sianidi, otsika sianidi, sing'anga sianidi ndi mkulu sianidi makalasi angapo.
Koma chifukwa chinthucho ndi poizoni, m'zaka zaposachedwa amakonda kusankha micro cyanide ndipo palibe cyanide plating solution.
Yankho lopanda cyanide lopanda plating limaphatikizapo asidi zinc phosphate plating solution, salt plating solution, potassium thiocyanate plating solution ndi hingeless fluoride plating solution.
1. Tsankho alkali wotentha kuviika galvanizing ❖ kuyanika galasi zabwino, gloss wabwino, plating yankho mlingo ndi mphamvu plating kwambiri ndi zabwino, kulola ntchito mphamvu panopa ndi kutentha osiyanasiyana ndi lonse, dzimbiri yaing'ono pa dongosolo.
Ndioyenera magawo omwe ali ndi njira zovuta zopangira ma electroplating ndi makulidwe okutira pamwamba pa 120¦Ìm, koma mphamvu yaposachedwa ya plating solution ndi yotsika komanso yapoizoni.
Zinthu zotsatirazi ziyenera kutsatiridwa pakukonza njira yopangira plating: 1} sungani mosamalitsa kuchuluka kwa gawo lililonse munjira yopukutira.
The ndende mtengo wa chigawo chilichonse cha mkulu sianidi otentha-kuviika kanasonkhezereka madzi njira (moll/L} ayenera kukhalabe monga: 2) kulabadira yankho mu kusamba, sodium hydroxide ndi mpweya zokhudzana zigawo zikuluzikulu.
Pamene mawonekedwe a sulfide aposa 50 ~ 100g/L, kupangika kwa plating solution kumachepetsedwa, ndipo chithandizo cha anodic oxidation passivation chiyenera kugwiritsidwa ntchito mu njira yoziziritsira (kutentha kwa firiji ndi -5¡æ, nthawi yayitali kuposa 8h, potaziyamu. Mtengo wa carbonate umachepetsedwa kufika 30 ~ 40g/L). Kapena njira yosinthira ion (kuwonjezera sodium carbonate kapena barium hydroxide deposition mu plating solution) kuti muchiritsidwe. 3) anodic makutidwe ndi okosijeni ntchito ozizira adagulung'undisa zitsulo mbale (zinki zili 99,97%) ayenera kulabadira anodic makutidwe ndi okosijeni manja, kupewa anode matope akuyandama mu plating njira, kuti ❖ kuyanika si yosalala.
4) The tilinazo thupi otentha-kuviika kanasonkhezereka njira zotsalira ndi ochepa, ndipo zili zovomerezeka ndi: mkuwa 0.075 — 0.2g/L, kutsogolera 0.02 — 0.04g/L,0.05 — 0.15g/L, malata 0.05 — 0.1 g/L, chromium 0.015 — 0.025g/L, Zosafunika mu chitsulo 0.15g/L¡¤ plating solution zitha kuthetsedwa motere: Onjezani 12.5-3g/L sodium sulfide, kuti apange sulfide precipitate ndi chitsulo komanso kutsogolera ndi ena makiyi zitsulo zabwino ayoni kuchotsa: Add Zinc ufa pang'ono, kuti mkuwa ndi kutsogolera akhoza m'malo pansi pa thanki kuchotsa: akhoza pulagi njira, cathode panopa mphamvu ndi 0.1-0.2 A/cm2.
2 tsankho alkali nthaka mankwala otentha kuviika kanasonkhezereka tsankho alkali nthaka asidi TH otentha kuviika kanasonkhezereka kusamba zikuchokera yosavuta, yabwino kugwiritsa ntchito, chabwino ndi chowala ❖ kuyanika, ❖ kuyanika si kophweka kuzimiririka, dzimbiri yaing'ono ya dongosolo, zimbudzi mankhwala ndi zosavuta kwambiri.
Koma plating yankho la homogeneous plating mulingo ndi luso lakuya lakuya kuposa yankho la plating ndi losauka, mphamvu yapano ndiyotsika (70% ~ 80%), yokutira pakuwongolera kwina kwa makulidwe.


Nthawi yotumiza: Mar-04-2023

Titumizireni uthenga wanu:

Lembani uthenga wanu apa ndikutumiza kwa ife
Macheza a WhatsApp Paintaneti!